OpenAFS Master Repository branch, master, updated. openafs-devel-1_5_72-11-g8cf4b94

Gerrit Code Review gerrit@openafs.org
Mon, 15 Feb 2010 17:42:04 -0800 (PST)


The following commit has been merged in the master branch:
commit 8cf4b945b93bfb7ba09a73fcec32a898efbc3ee2
Author: Simon Wilkinson <sxw@your-file-system.com>
Date:   Sun Feb 14 00:38:47 2010 +0000

    Add xdrlen and xdrmem to pthread build
    
    Add xdrlen and xdrmem to libafsrpc, so they're available to pthreaded
    binaries.
    
    Change-Id: I55ed54a3fb87865d286bf2019858afb037c967ea
    Reviewed-on: http://gerrit.openafs.org/1327
    Reviewed-by: Derrick Brashear <shadow@dementia.org>
    Tested-by: Derrick Brashear <shadow@dementia.org>

 src/libafsrpc/Makefile.in |    5 +++++
 1 files changed, 5 insertions(+), 0 deletions(-)

-- 
OpenAFS Master Repository